JTAG」タグアーカイブ

[SpinalHDL] FPGA に JTAG 機能を載せて Python から制御する

Deployed JTAG functionality of SpinalHDL on TinyFPGA BX and controlled it by Python. いままで、TinyFPGA BX に PWM 機能を載せてみたり、RISC-V を JTAG デバッグしてみたりしてきましが、今回は RISC-V を使わずに JTAG 機能だけを評価してみることにしました。JTAG というとマイコンデバッグのイメージが強いですが、本来、デジタル回路を外部から観測したり制御し… 続きを読む »